• Parasitic Extraction Examples

    Utmost IV Examples

12 : Customization of Extraction with JavaScript and Lisa Programming

Minimum Required Versions: Expert 5.2204.3.C, Hipex 3.8.8.R

Hipex-RC allows to customize the extraction routine for parasitic capacitances. The customization is provided by using procedures written in LISA or JavaScript. The user-defined procedures for parameter calculation can be referenced (called) within CPX OVERLAP / FRINGE / LATERAL command.

The format in LISA is as follows:

define procedure user_lateral_cap

parameter length

parameter length_shield

parameter distance

parameter width1

parameter width2

parameter distance2

...

do begin

return <your_own_equation>;

end;

In JavaScript, it should be written as follows:

function user_lateral_cap(length, length_shield, distance, width1, width2, distance2, ...)

{

...

return ...;

}

In both cases, the parameters "length", "length_shield", "distance", "width1", "width2", and "distance2" should be defined in the procedure definition even if some of them are not used in the procedure. And any additional parameters can be added freely.

This example demonstrates the usage of user-defined procedures for more accurate extraction driven by various wire widths. The actual operation steps are as follows:

1) Start Expert, and open the project file hipex_12.eld by using File->Open .

2) Open the top cell "hipex_example_12" in the "Open Cell(s)" dialog. The layout contains several wires with different widths (see figure1 ).

3) Select Verification->Extraction->Setup to open the "Layout Parameter Extraction Setup" dialog. Press Load button, and choose hipex_example_12.lpe file to load extraction settings.

4) Open the "Technology" page in the dialog, and specify original_c_cmd.lisa as the Parasitic capacitance technology (see figure2 ).

5) Select Verification->Extraction->Hipex-Net->Run , and then Verification->Hipex-RC->Run to extract parasitic devices from the layout.

6) Select Verification->Node-Probing->Pick Node command, and click each of METAL1 wires. It shows the value of extracted capacitances (see figure3 ). There is no essential difference in values for wires with different width in this case

7) Open the "Layout Parameter Extraction Setup" dialog again, and specify another parasitic capacitance technology file customized_c_cmd.lisa in the "Technology" page. This technology file contains user-defined procedures taking into account wire width.

8) Run Hipex-RC again, and check the extracted capacitances. The value of extracted capacitances now is bigger for wider wires (see figure4 ).

Input Files
Graphics
Copyright © 1984 - Silvaco, Inc. All Rights Reserved. | Privacy Policy